正在阅读:PyCharm怎样编写第一个HelloWorldPyCharm怎样编写第一个HelloWorld

2019-01-29 15:15 出处:其他 作者:佚名 责任编辑:lixianmei

  PyCharm是一个很有名气的Python的IDE,软件是全英文的,所以对于一些初学者来说建立第一个工程可能会有些问题,所以我今天教大家如何通过PyCharm建立第一个HelloWorld工程!

方法/步骤

  首先我们先点击=》File

pycharm

  点击=》New Project,这里我们还可以建立其他的文件,暂时没有用到

pycharm

  ==》打开新窗口

  ==》在当前窗口打开

  根据自己需求选择

pycharm

  新建一个Python文件

pycharm

  我们给文件取名

  ==》HelloWorld.py

pycharm

  好了,代码框出来了大家可以在这里填写代码

pycharm

  编写此代码==》

  #__Coding__Onefat__utf_8a = "Hello world"print("hello,world")print(a)

pycharm

  将鼠标移动到文件名上然后右键打开“run helloworld”

pycharm

  运行结果在下方的调试窗口

  好了,至此第一个工程创建完毕

pycharm

PyCharm Professional软件版本:2018.2.4 官方版教育软件立即查看

关注我们

最新资讯离线随时看 聊天吐槽赢奖品